open (IN1, "output_file.vhd") or die; my @nets = ; my @enabled_nets = ; while () { foreach $i (0..$#nets){ print OUT if (s/\=\>\s+$nets[$i]\,/\=\> $enabled_nets[$i]\,/); } }