system( $prog, @args );