#!/usr/bin/perl $input_file = "dump.vcd"; open (INPUT, "$input_file"); while ($efile = ) { for ($i = 0; $i <$#efile; $i++) { $efile[$i] =~ s/\$var\w \d+ ([!,\#,\",\$,\%,&]) (\w) \$end/\$var $1 $2/ } print "$efile"; } close (INPUT);