open (IN1, "common_modify.vhd") or die; while () { print OUT; if (/signal/){ open (IN2, "; chomp @enabled_nets; while () { print OUT ; print OUT ',enable_c,'; } close (IN2); } } close (IN1); close (OUT);