http://qs321.pair.com?node_id=1076966


in reply to Re: Why does the first $c evaluate to the incremented value in [$c, $c += $_] ?
in thread Why does the first $c evaluate to the incremented value in [$c, $c += $_] ?

Well, but what about this? It does not look like your third example worked...
$ perl -wlE 'my $x=1; my @A=($x, $x++); say join $/, @A;' 2 1