http://qs321.pair.com?node_id=921040


in reply to Re: debugging during compile
in thread debugging during compile

Ladies and gentlemen, we have a winner!

I hadn't realized the code in the module would be run after compile and before the calling script continued compiling.

I put some print statements in the main body of my module and sure enough, ARGV was being altered in there. I tracked it down with a few more prints and found it.

Somehow in refactoring a sub, I had left a

my $self = shift; my $item = shift;

sitting naked in the main body of the module. Whee!